학술논문

Multicast On-chip Traffic Analysis Targeting Manycore NoC Design
Document Type
Conference
Source
2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Parallel, Distributed and Network-Based Processing (PDP), 2015 23rd Euromicro International Conference on. :370-378 Mar, 2015
Subject
Computing and Processing
Protocols
Coherence
Computer architecture
Benchmark testing
Unicast
Program processors
Spatiotemporal phenomena
Manycore Processors
Multiprocessors
Multicast
Broadcast
On-Chip Traffic Analysis
Network-on-Chip
Scalability
Language
ISSN
1066-6192
2377-5750
Abstract
The scalability of Network-on-Chip (NoC) designs has become a rising concern as we enter the many core era. Multicast support represents a particular yet relevant case within this context and has been the focus of different research efforts, mainly due to the poor performance of NoCs in the presence of this increasingly important type of traffic. However, most of the proposed schemes have been evaluated using synthetic traffic or within a full system, which is either unrealistic or costly. While traffic models would allow to better assess their performance, existing proposals do not distinguish between unicast and multicast flows and often are bound to a given number of cores. In this paper, a trace-based multicast traffic characterization is presented with the aim to provide guidelines for the modeling of multicast communications in many core settings. To this end, the scaling trends of aspects such as the multicast traffic intensity or the spatiotemporal injection distribution are analyzed. The novelty of this work resides both on its scalability-oriented approach and on the use of correlation metrics to evaluate potential prediction opportunities.