학술논문

FAUST: Design and implementation of a pipelined RISC-V vector floating-point unit
Document Type
Article
Source
In Microprocessors and Microsystems March 2023 97
Subject
Language
ISSN
0141-9331