학술논문

LazyPIM: An Efficient Cache Coherence Mechanism for Processing-in-Memory
Document Type
Periodical
Source
IEEE Computer Architecture Letters IEEE Comput. Arch. Lett. Computer Architecture Letters. 16(1):46-50 Jan, 2017
Subject
Computing and Processing
Coherence
Kernel
Message systems
Computer architecture
Bandwidth
Random access memory
Programming
Language
ISSN
1556-6056
1556-6064
2473-2575
Abstract
Processing-in-memory (PIM) architectures cannot use traditional approaches to cache coherence due to the high off-chip traffic consumed by coherence messages. We propose LazyPIM , a new hardware cache coherence mechanism designed specifically for PIM. LazyPIM uses a combination of speculative cache coherence and compressed coherence signatures to greatly reduce the overhead of keeping PIM coherent with the processor. We find that LazyPIM improves average performance across a range of PIM applications by 49.1 percent over the best prior approach, coming within 5.5 percent of an ideal PIM mechanism.