학술논문

3.2 Zen: A next-generation high-performance ×86 core
Document Type
Conference
Source
2017 IEEE International Solid-State Circuits Conference (ISSCC) Solid-State Circuits Conference (ISSCC), 2017 IEEE International. :52-53 Feb, 2017
Subject
Components, Circuits, Devices and Systems
Clocks
Voltage control
Next generation networking
Power supplies
Benchmark testing
Metals
Electric breakdown
Language
ISSN
2376-8606
Abstract
Codenamed “Zen”, AMD's next-generation, high-performance ×86 core targets server, desktop, and mobile client applications. Utilizing Global Foundries' energy-efficient 14nm LPP FinFET process, the 44mm 2 Zen core complex unit (CCX) has 1.4B transistors and contains a shared 8MB L3 cache and four cores (Fig. 3.2.7). The 7mm 2 Zen core contains a dedicated 0.5MB L2 cache, 32KB L1 data cache, and 64KB L1 instruction cache. Each core has a digital low drop-out (LDO) voltage regulator and digital frequency synthesizer (DFS) to independently vary frequency and voltage across power states.