학술논문

KAPow: A System Identification Approach to Online Per-Module Power Estimation in FPGA Designs
Document Type
Conference
Source
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) Field-Programmable Custom Computing Machines (FCCM), 2016 IEEE 24th Annual International Symposium on. :56-63 May, 2016
Subject
Computing and Processing
Power demand
Runtime
Radiation detectors
Instruments
Switches
Field programmable gate arrays
Adaptation models
power breakdown
online system identification
activity counting
power-aware scheduling
self-aware and adaptive systems
Language
Abstract
In a modern FPGA system-on-chip design, it is often insufficient to simply assess the total power consumption of the entire circuit by design-time estimation or runtime power rail measurement. Instead, to make better runtime decisions, it is desirable to understand the power consumed by each individual module in the system. In this work, we combine board-level power measurements with register-level activity counting to build an online model that produces a breakdown of power consumption within the design. Online model refinement avoids the need for a time-consuming characterisation stage and also allows the model to track long-term changes to operating conditions. Our flow is named KAPow, a (loose) acronym for 'K'ounting Activity for Power estimation, which we show to be accurate, with per-module power estimates as close to +/-5mW of true measurements, and to have low overheads. We also demonstrate an application example in which a per-module power breakdown can be used to determine an efficient mapping of tasks to modules and reduce system-wide power consumption by over 8%.