학술논문

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting
Document Type
Periodical
Source
IEEE Transactions on Very Large Scale Integration (VLSI) Systems IEEE Trans. VLSI Syst. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on. 16(4):456-465 Apr, 2008
Subject
Components, Circuits, Devices and Systems
Computing and Processing
Integrated circuit interconnections
Boosting
Delay
Driver circuits
Clocks
Wire
Fluctuations
Voltage
Circuit simulation
Testing
Capacitive boosting
clock distribution network
global wire delay
subthreshold circuits
Language
ISSN
1063-8210
1557-9999
Abstract
This paper describes an interconnect technique for subthreshold circuits to improve global wire delay and reduce the delay variation due to process-voltage-temperature (PVT) fluctuations. By internally boosting the gate voltage of the driver transistors, operating region is shifted from subthreshold region to super-threshold region enhancing performance and improving tolerance to PVT variations. Simulations of a clock distribution network using the proposed driver shows a 66%–76% reduction in $3\sigma$ clock skew value and 84%–88% reduction in clock tree delay compared to using conventional drivers. A 0.4-V test chip has been fabricated in a 0.18-$\mu$m 6-metal CMOS process to demonstrate the effectiveness of the proposed scheme. Measurement results show 2.6 $\times$ faster switching speed and 2.4$\times$ less delay sensitivity under temperature variations.