학술논문

Spatial Memory Streaming
Document Type
Conference
Source
33rd International Symposium on Computer Architecture (ISCA'06) Computer Architecture, 2006. ISCA '06. 33rd International Symposium on. :252-263 2006
Subject
Computing and Processing
Application software
Bandwidth
Delay
Prefetching
Computer architecture
Hardware
Decision support systems
Data structures
Technological innovation
System performance
Language
ISSN
1063-6897
Abstract
Prior research indicates that there is much spatial variation in applications' memory access patterns. Modern memory systems, however, use small fixed-size cache blocks and as such cannot exploit the variation. Increasing the block size would not only prohibitively increase pin and interconnect bandwidth demands, but also increase the likelihood of false sharing in shared-memory multiprocessors. In this paper, we show that memory accesses in commercial workloads often exhibit repetitive layouts that span large memory regions (e.g., several kB), and these accesses recur in patterns that are predictable through codebased correlation. We propose Spatial Memory Streaming, a practical on-chip hardware technique that identifies codecorrelated spatial access patterns and streams predicted blocks to the primary cache ahead of demand misses. Using cycle-accurate full-system multiprocessor simulation of commercial and scientific applications, we demonstrate that Spatial Memory Streaming can on average predict 58% of L1 and 65% of off-chip misses, for a mean performance improvement of 37% and at best 307%.