학술논문

The Michelangelo step: removing scalloping and tapering effects in high aspect ratio through silicon vias.
Document Type
Article
Source
Scientific Reports. 2/17/2021, Vol. 11 Issue 1, p1-6. 6p.
Subject
*SILICON
*FABRICATION (Manufacturing)
*THREE-dimensional imaging
*DIELECTRICS
*ETCHING
Language
ISSN
2045-2322
Abstract
We present here, for the first time, a fabrication technique that allows manufacturing scallop free, non-tapered, high aspect ratio in through-silicon vias (TSVs) on silicon wafers. TSVs are among major technology players in modern high-volume manufacturing as they enable 3D chip integration. However, the usual standardized TSV fabrication process has to deal with scalloping, an imperfection in the sidewalls caused by the deep reactive ion etching. The presence of scalloping causes stress and field concentration in the dielectric barrier, thereby dramatically impacting the following TSV filling step, which is performed by means of electrochemical plating. So, we propose here a new scallop free and non-tapered approach to overcome this challenge by adding a new step to the standard TSV procedure exploiting the crystalline orientation of silicon wafers. Thank to this new step, that we called "Michelangelo", we obtained an extremely well polishing of the TSV holes, by reaching atomic-level smoothness and a record aspect ratio of 28:1. The Michelangelo step will thus drastically reduce the footprint of 3D structures and will allow unprecedented efficiency in 3D chip integration. [ABSTRACT FROM AUTHOR]