학술논문

HeteroGen: Automatic Synthesis of Heterogeneous Cache Coherence Protocols
Document Type
Conference
Source
2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA) HPCA High-Performance Computer Architecture (HPCA), 2022 IEEE International Symposium on. :756-771 Apr, 2022
Subject
Components, Circuits, Devices and Systems
Computing and Processing
Protocols
Program processors
Fuses
Computational modeling
Coherence
Computer architecture
Manuals
Cache coherence
Memory consistency
Compound consistency
Protocol design automation
Compositional consistency
Litmus tests
Heterogenous coherence protocols
Language
ISSN
2378-203X
Abstract
We solve the two challenges architects face when designing heterogeneous processors with cache coherent shared memory. First, we develop an automated tool, called HeteroGen, for composing clusters of cores, each with its own coherence protocol. Second, we show that the output of HeteroGen adheres to a precisely defined memory consistency model that we call a compound consistency model. For a wide variety of protocols—including the MOESI variants, as well as those that are targeted towards Total Store Order and Release Consistency—we show that HeteroGen can correctly fuse them. To validate HeteroGen, we develop the first litmus tests for verifying that heterogeneous protocols satisfy compound consistency models. To understand the possible performance implications of automatic protocol generation, we compared against a publicly available manually-generated heterogeneous protocol. Our results show that performance is comparable.