학술논문

Copper Microstructure Optimization for Fine Pitch Low Temperature Cu/SiO2 Hybrid Bonding
Document Type
Conference
Source
2024 IEEE 74th Electronic Components and Technology Conference (ECTC) ECTC Electronic Components and Technology Conference (ECTC), 2024 IEEE 74th. :631-636 May, 2024
Subject
Components, Circuits, Devices and Systems
Engineered Materials, Dielectrics and Plasmas
Engineering Profession
Photonics and Electrooptics
Electrochemical deposition
Performance evaluation
Nanotopography
Thermal resistance
Plating
Titanium
Copper
copper grain engineering
fine grain
hybrid bonding
copper electroplating
damascene
low-temperature budget bonding
Language
ISSN
2377-5726
Abstract
Developing hybrid bonding technology is a key challenge for fine pitch 3D integrations, enabling high performances and reliability. For the realization of interconnects in 3D integration, copper grain engineering is an important parameter to consider in order to achieve hybrid bonding with reduced thermal budget (typically below 200°C), allowing new devices co-integration. Main challenges for good performances at copper-copper interface are a good diffusion, optimized coefficient of thermal expansion and resistivity that remains low. Nanocristalline copper (referred to as "fine grain") has been widely reported as a promising candidate for hybrid bonding as it enables copper expansion at lower temperatures, thus promoting the formation of strong Cu-Cu bonds at the bonding interface [1]. Nano-sized grains can also be more easily developed in fine pitch damascene than nanotwin (nt-Cu) process due to the small size of the structures.In this study, the impact of different barrier types (namely titanium and tantalum nitride) on copper grains nanostructure and bonding quality has been evaluated on 300 mm wafers. Electroplating was performed with a specific waveform to obtain stable copper nanograins. CMP (Chemical Mechanical Polishing) has also been optimized in order to adjust the dishing for different pitches, followed by wafer-to-wafer bonding at different temperatures. Here we demonstrate how plating process parameters impact the copper grain size and stability, and successfully achieve copper-copper hybrid bonding at reduced temperature. We also demonstrate that the fine grain structure fabricated with our process remains stable for at least 30 days. Scanning acoustic microscopy (C-SAM) studies reveals an interface after hybrid bonding at 200°C as good as the reference at high temperature. This new copper damascene filling process enables 3D integration of a wide range of devices such as phase change memories (PCMs), previously limited by the BEOL thermal budget.